目录

EDA 工具

Design Ware

Synopsys CoreTools 套件

VCS

verdi

Design Compiler


EDA 工具

常用的EDA工具主要来自三家公司:Synopsys、Cadence和Mentor(已被Siemens收购)。EDA,全称电子设计自动化(Electronics Design Automation),在数字、模拟和混合集成电路的各个开发阶段都发挥着重要作用。

🌟 RTL功能仿真:VCS+Verdi套装或ModelSim是常用的功能仿真工具。VCS用于仿真,Verdi用于波形debug,在Linux下非常好用;ModelSim则兼具仿真和波形debug功能,在Windows下常用。功能仿真阶段不区分FPGA或ASIC,工具通用;这些工具也可以进行后仿,即动态时序仿真。

形式验证: Conformal ,​​逻辑等效性检查(Logic Equivalence Checking, LEC)工具​​,属于形式验证的核心工具。常用的sign-off工具 :Primetime,

🌟 逻辑综合:Synopsys的Design Compiler(DC)是常用的逻辑综合工具。通常使用TCL脚本(工具命令语言),网上有丰富的相关资料。综合阶段还可以在工具内进行timing等分析,但结果不如PT准确。(RTL 转成网表)

可测性设计:Tessent,

布局布线:Synopsys的ICC/ICC2是常用的布局布线工具,功能强大,可以根据用户的floorplan自动完成布局优化和布线优化。工具还支持timing、DRC、LVS检查等功能,但不是sign-off工具。

额外需要会的:

1、Tcl,Perl脚本开发

2、SOC顶层模块 (时钟/复位/电源管理/低功耗/管脚复用)的设计

3、一些隐藏的前端流程:

Lint(代码规范性检查,工具 Synopsys Spyglass, RTL编码完成后立即运行)、

CDC (跨时钟域检查,​​工具 Synopsys Spyglass CDC。功能与目的​​

  • ​​同步性验证​​:确保跨时钟域信号传输通过同步器(如两级触发器)处理,避免亚稳态。
  • ​​收敛性检查​​:检测多信号跨时钟域汇合时的时序冲突(如glitch)。
  • ​​复位同步​​:验证复位信号在不同时钟域的同步逻辑。)、

 功耗分析   常用工具​​:Synopsys PrimeTime PX(逻辑综合后)Cadence Voltus(布局布线后)。​​输入文件​​:门级网表、工艺库(.db)、寄生参数文件、开关行为文件(SAIF/VCD)) 

SDC 是 ​​时序约束的行业标准格式​,用于定义设计的时序、面积和功耗目标,指导综合(如Design Compiler)、布局布线(如IC Compiler)等工具优化电路。核心功能​​: 约束时钟(频率、不确定性、延迟)。定义输入/输出时序(setup/hold)。指定设计规则(最大电容、转换时间)。支持多电压域和低功耗设计 

Design Ware

Synopsys公司提供的集成电路设计IP核库和验证工具集合,广泛应用于SoC/ASIC开发中,涵盖逻辑、算术、存储等模块,可优化综合结果并缩短设计周期‌。

‌DesignWare的核心功能与特点‌

  1. ‌IP核库多样性‌:包含超过140个独立于工艺的已验证模块,如逻辑单元、算术运算器、存储器及专用元件(如8051微控制器、PCI-X、USB2.0等)。‌‌1
  2. ‌与Design Compiler集成‌:通过Synopsys综合工具优化设计,显著提升性能并减少开发时间。‌‌1
  3. ‌仿真模型支持‌:提供17万种器件功能级仿真模型,覆盖FPGA、CPU(ARM/MIPS/PowerPC)及各类总线协议(PCI-X/AMBA/Ethernet等)。‌‌

Synopsys CoreTools 套件

是一组专注于 ​​IP核封装、集成与验证​​ 的工具集,旨在提升基于IP的SoC设计效率与可靠性。以下是其核心组件及功能详解:

coreConsultant™​  √

指导单个IP核的配置、验证与实现,生成IP-XACT XML文件,支持图形/命令行操作。

​​典型场景​​:配置AMBA AHB/AXI总线IP参数,生成定制化RTL和测试环境。

coreBuilder™​​:用于IP核的标准化封装,支持多抽象层次(RTL、事务级模型等)的IP描述,并生成符合 ​​IP-XACT​​ 标准的元数据文件。

特点​​:提供图形化或命令行配置界面,支持设计意图捕获与质量流控制。生成 coreKit 数据库,便于后续工具调用。

​coreAssembler™​​:用不上。自动化IP子系统集成,生成互连逻辑、配置RTL及验证环境(如测试平台)。

​​优势​​:支持混合语言设计(Verilog/VHDL),通过TCL接口实现流程定制。与Galaxy平台集成,自动生成物理实现脚本,减少时序收敛时间。

VCS

vcs 的两步流程将仿真分为 ​​编译(Compilation)​​ 和 ​​仿真(Simulation)​​ 两个独立阶段,适用于纯Verilog/SystemVerilog设计:

​编译阶段​​: vcs [compile options] Verilog_files

VCS构建实例层次结构并生成二进制可执行文件  simv.  该二进制可执行文件随后将用于模拟。(使用simv 跑 这个仿真)

举例:vcs -v lib_file.v -y ./lib_dir +libext+.v +incdir+./include design.v

-v filename 指定库文件;

-y directory 库目录;

+incdir+目录+:头文件搜索路径

+libext:包含+libext编译时选项,以指定VCS在这些目录中查找 文件的扩展名。

​​其他选项​​:

-full64; 使能64位模式下的编译和仿真;

-file filename; 指定一个包含文件列表和编译时选项的文件

-verdi; 开启Verdi

-R;  编译后立即仿真;在VCS链接后立即运行可执行文件。

-parameters filename ;  将文件中指定的参数值更改为文件中指定的值

-q ; 静音模式;会抑制一些消息,例如关于C编译器VCS正在使用的、VCS正在解析的源文件、顶级模块或指定的时间尺度相关的消息。
-V ; 详细模式;编译器驱动程序在运行C编译器、汇编器和链接器时打印它执行的命令。

-l compile.log:编译的日志记录

+define+USETHIS ;  宏在源文件中使用'ifdef 指令。如果未使用+define/则代码中的else部分优先。

​​仿真阶段​​运行 simv 

执行功能验证或时序分析,支持Interactive Mode (调试)或Batch Mode(回归)模式。

交互模式 Interactive Mode 
交互方式在设计周期的初始阶段应用,主要是使用GUI或通过命令行调试。要使用GUI调试,可以使用Verdi,而要通过命令行界面调试,则可以使用统一命令行界面(UCLI)。使用交互模式,在编译时要使用 -debug_access(+<option>) 

回归模式 batch mode

设计后阶段,仅需进行最低限度的调试,使用 此模式可以实现更好的性能。

命令  simv (对的!就这一个 simv 就够了 )

模式​​启动方式​​用途​
​交互模式​simv -ucli 或 simv -verdi动态调试(UCLI命令行/Verdi图形界面)
回归模式​simv自动化回归测试,性能最优
verdi

交互模式下使用Verdi  

 simv -gui

后 处理模式下使用verdi 

verdi -ssf xxxx.fsdb 

Design Compiler

一种由Synopsys公司开发的电子设计自动化(EDA)工具,主要用于将硬件描述语言(如Verilog或VHDL)编写的高层次电路设计转换为优化的门级网表。

主要功能: 该工具能够进行逻辑综合、设计约束设置、时序分析等操作,以满足设计的性能和面积要求。

Design Compiler (二)——DC综合与Tcl语法结构概述_Design Compiler-CSDN专栏

dc_shell: DC以命令行的格式启动:$dc_shel,需要知道一些tcl的简单语法。

.tcl 是个脚本

综合后需要查看timing、area 符不符合需要;

综合后的多个文件需要写到一个ddc 文件里,或者一个netlist

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。
如若转载,请注明出处:http://www.pswp.cn/diannao/94679.shtml
繁体地址,请注明出处:http://hk.pswp.cn/diannao/94679.shtml
英文地址,请注明出处:http://en.pswp.cn/diannao/94679.shtml

如若内容造成侵权/违法违规/事实不符,请联系英文站点网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

windows有一个企业微信安装包,脚本执行并安装到d盘。

以下是将本地已有的企业微信安装包安装到D盘的完整PowerShell脚本&#xff0c;包含详细的错误处理和进度反馈&#xff1a; <# .SYNOPSIS使用本地企业微信安装包安装到D盘 .DESCRIPTION自动检测本地安装包&#xff0c;静默安装到指定目录支持.exe和.msi格式安装包 #># 强制…

[LVGL] 布局系统 lv_flex, lv_grid | 输入设备 lv_indev | union

第五章&#xff1a;布局系统&#xff08;lv_flex, lv_grid&#xff09; 欢迎回来&#xff01; 在第四章&#xff1a;样式&#xff08;lv_style&#xff09;中&#xff0c;我们掌握了如何通过色彩、字体和圆角等特性美化部件。当界面元素具备视觉吸引力后&#xff0c;如何优雅…

Linux中的mkdir命令

基本语法mkdir 命令的基本语法如下&#xff1a;mkdir [选项] 目录名创建单个目录要创建一个新目录&#xff0c;只需在 mkdir 后跟上目录名称。例如&#xff1a;mkdir new_folder这会在当前工作目录下创建一个名为 new_folder 的目录。创建多个目录可以一次性创建多个目录&#…

基于大数据的美食视频播放数据可视化系统 Python+Django+Vue.js

本文项目编号 25003 &#xff0c;文末自助获取源码 \color{red}{25003&#xff0c;文末自助获取源码} 25003&#xff0c;文末自助获取源码 目录 一、系统介绍二、系统录屏三、启动教程四、功能截图五、文案资料5.1 选题背景5.2 国内外研究现状 六、核心代码6.1 查询数据6.2 新…

微信小程序精品项目-基于springboot+Android的计算机精品课程学习系统(源码+LW+部署文档+全bao+远程调试+代码讲解等)

博主介绍&#xff1a;✌️码农一枚 &#xff0c;专注于大学生项目实战开发、讲解和毕业&#x1f6a2;文撰写修改等。全栈领域优质创作者&#xff0c;博客之星、掘金/华为云/阿里云/InfoQ等平台优质作者、专注于Java、小程序技术领域和毕业项目实战 ✌️技术范围&#xff1a;&am…

(五)系统可靠性设计

2024年博主考软考高级系统架构师没通过&#xff0c;于是决定集中精力认真学习系统架构的每一个环节&#xff0c;并在2025年软考中取得了不错的成绩&#xff0c;虽然做信息安全的考架构师很难&#xff0c;但找对方法&#xff0c;问题就不大&#xff01; 本文主要是博主在学习过程…

Shuffle SOAR使用学习经验

Shuffle SOAR 1. 基础操作与配置1.1 环境搭建与系统要求1.1.1 硬件与操作系统要求Shuffle SOAR 平台作为一款开源的安全编排、自动化与响应&#xff08;SOAR&#xff09;工具&#xff0c;其部署方式灵活&#xff0c;支持云端和自托管两种模式。对于自托管部署&#xff0c;官方推…

腾讯云 EdgeOne 产品分析与免费套餐体验指南

本文围绕腾讯云 EdgeOne 展开&#xff0c;全方位介绍它的核心能力、免费套餐内容&#xff0c;以及如何快速上手、监控和排查常见问题&#xff0c;帮助个人开发者和中小企业在不产生额外成本的前提下体验高性能的边缘加速与安全防护。 一、产品概述 EdgeOne 定位 一体化云服务平…

npm ERR! Unsupported URL Type “workspace:“: workspace:./lib

如下 npm install npm ERR! code EUNSUPPORTEDPROTOCOL npm ERR! Unsupported URL Type "workspace:": workspace:./libnpm ERR! A complete log of this run can be found in: D:\IDEA\nodejs\node_cache\_logs\2025-08-06T08_21_32_592Z-debug-0.log原因及解决 pac…

微积分: 变化与累积

微积分,这门研究变化与累积的数学分支,其核心思想竟与东方哲学中"易"的概念不谋而合。《易经》有云:“易有太极,是生两仪”,而微积分正是通过"微分"与"积分"这对辩证统一的操作,揭示了世间万物变化与永恒的奥秘。 #mermaid-svg-UjO6qqMm0h…

web-vue工作流程

接续bmcweb流程。 当登录openbmc web页面后,浏览器会根据index.html中的js文件中的routes信息,自动获取信息,比如当前的网络设置信息、Datetime时区时间信息等。 以获取网络配置信息为例: 浏览器从app.js获取到settins->network的route:”/settings/network”,加载对应…

全球化2.0 | 泰国IT服务商携手云轴科技ZStack重塑云租赁新生态

在全球数字化转型不断加速的今天&#xff0c;泰国企业对于高质量云服务的需求日益旺盛。作为深耕本地市场逾二十年的行业领先IT服务商&#xff0c;泰国IT服务商不仅覆盖了IT系统、软件、硬件及网络等多个领域&#xff0c;还持续引领当地技术服务创新。近期&#xff0c;该泰国IT…

一文搞懂Hive临时表操作秘籍

Hive 临时表&#xff1a;数据处理的得力助手 在大数据处理的广阔领域中&#xff0c;Hive 凭借其强大的数据仓库功能&#xff0c;成为了众多数据分析师和开发者的得力工具。Hive 提供了类似 SQL 的查询语言 HiveQL&#xff0c;让我们能够方便地对存储在 Hadoop 分布式文件系统&a…

瞬态吸收光谱仪的基本原理

目录 1. 基态与激发态 2. 时间上的动力学信息 3. pump-probe探测技术 4. 时间延迟和同一光源 5. 延时线和OPA 6. 差分信号 7. 斩波器 原视频链接&#xff1a;瞬态吸收光谱仪的基本原理_哔哩哔哩_bilibili 1. 基态与激发态 当光照射在物质上时&#xff0c;组成物质的微观…

迭代器与生成器:Python 中的高效数据遍历机制

一、迭代器和生成器的基本概念 1. 迭代器的定义和工作原理 &#xff08;1&#xff09;迭代器的概念 迭代器&#xff08;Iterator&#xff09; 是 Python 中一种支持逐个访问元素的对象&#xff0c;它遵循 迭代器协议&#xff08;Iterator Protocol&#xff09;&#xff0c;即实…

Java 发送 HTTP POST请求教程

Java 发送 HTTP POST 请求的方法使用 HttpURLConnection&#xff08;原生 Java 支持&#xff09; 创建一个 HttpURLConnection 对象&#xff0c;设置请求方法为 POST&#xff0c;并写入请求体数据。以下是一个简单示例&#xff1a;import java.io.OutputStream; import java.ne…

计算机英语详细总结

计算机英语作为信息技术领域的专用语言&#xff0c;融合了专业术语、缩写、行业表达及技术文档规范&#xff0c;是学习编程、从事 IT 工作的核心工具。以下从核心分类、应用场景、学习方法三方面详细梳理&#xff1a;一、核心术语分类与高频词汇1. 编程语言与语法基础基础概念&…

「日拱一码」045 机器学习-因果发现算法

目录 基于约束的方法 (Constraint-based) 基于评分的方法 (Score-based) 基于函数因果模型的方法 (Functional Causal Models) 基于梯度的方法 (Gradient-based) 因果发现是机器学习中一个重要的研究方向&#xff0c;它旨在从观测数据中推断变量之间的因果关系 基于约束的…

S7-1200 串行通信介绍

S7-1200 串行通信S7-1200支持的串行通讯方式点对点&#xff08;PtP&#xff09;通信Modbus 主从通信USS 通信名称CM 1241 RS232CM 1241 RS422/485CB 1241 RS485订货号6ES7241-1AH32-0XB06ES7241-1CH32-0XB06ES7241-1CH30-1XB0通讯口类型RS232RS422/RS485RS485波特率(bps)300 ;6…

达梦包含OR条件的SQL特定优化----INJECT-HINT优化方法

Time:2025/08/07Author:skatexg应用迭代发版须执行如下动作 1、按目标需求全面压力测试&#xff0c;优化潜在慢SQL或设置特殊优化参数(如&#xff1a;OPTIMIZER_OR_NBEXP) 2、达梦数据库有数据导入&#xff0c;必须收集统计信息达梦使用SF_INJECT_HINT系统函数对指定SQL增加HIN…