相关阅读

SDC输出命令https://blog.csdn.net/weixin_45791458/category_12993272.html?spm=1001.2014.3001.5482


        write_script命令用于将设计中的属性设置命令输出为脚本文件(其实它并不是一个SDC命令,归为此类只是为了方便管理),这包括所有的SDC命令(实际上所有的SDC命令都会设置相应属性,例如set_ideal_network命令设置了ideal_network_source属性),但不包括用户自定义属性。对于那些不能直接用特定命令设置的属性,将统一使用set_attribute命令设置。

        本文针对Design Compiler,但该命令同样存在于PrimeTime、IC Compiler等工具中,它们大致相同,略有差别。write_script命令的BNF范式(有关BNF范式,可以参考以往文章)为:

write_script  [-hierarchy]  [-no_annotated_check] [-no_annotated_delay] [-no_cg]  [-full_path_lib_names]  [-nosplit]  [-include loop_breaking]  [-output file_name]

指定所有设计

        -hierarchy选项指定为所有设计生成属性设置命令,如果不使用该选项,只会为当前设计生成属性设置命令。

指定不包含反标延迟检查命令

        -no_annotated_check选项指定脚本文件中不包含set_annotated_check命令。对于含有大量反标信息的设计,使用此选项可以避免生成过大的脚本文件。

指定不包含反标延迟命令

        -set_annotated_delay选项指定脚本文件中不包含set_annotated_delay命令。对于含有大量反标信息的设计,使用此选项可以避免生成过大的脚本文件。

指定不包含时钟门控信息

        -no_cg选项指定脚本文件中不包含Power Compiler中有关时钟门控的属性设置(通过set_attribute命令设置),这些属性有助于门控时钟单元的识别。

指定完整库名

        -full_path_lib_names选项指定库名以包含路径的方式写出。

指定不换行

        -nosplit选项指定当字段长度超出列宽时不进行换行(适合用diff比较或后处理)。

指定包含被断开的组合环

        -include loop_breaking选项指定为那些被断开的组合环输出set_disable_timing命令。

指定文件名

        -output选项指定脚本文件的名称,如果不使用该选项,则默认只输出至标准输出。

注意事项

        1、某些属性不支持输出,某些命令由于未设置属性也不支持输出,例如set_clock_gating_style、set_min_library等。

        2、对于Design Compiler而言,输出的设置命令可能与执行时不完全一样,这是因为综合过程中Design Compiler可能对设计进行解组、唯一化、重命名等优化操作(严格意义说,此时的设置命令对应的是综合后设计的属性,而不是综合前的),并且设置命令应该是参数展开的形式。 

简单使用

        假设执行了以下脚本文件,但不进行综合。

create_clock -period 10 [get_ports clk]
set_clock_latency -source 0.5  [get_clocks clk]
set_dont_touch [get_nets clk] 
set_attribute [get_nets in1] dont_touch true
set_load [expr 0.5+0.5] out // 输出时是以展开的形式
set_input_delay 0.5 -clock [get_clocks clk] [get_ports in*] // 输出时是以展开的形式

        使用write_script 命令后,输出的内容如下所示。

dc_shell> write_script 
Warning: Design 'top_module' has '1' unresolved references. For more detailed information, use the "link" command. (UID-341)
#################################################################### Created by write_script -format dctcl on Wed Jul 30 18:50:23 2025#################################################################### Set the current_design #
current_design top_moduleremove_wire_load_model
set_dont_touch [get_nets in1]           // 尽管使用set_attribute命令设置,但输出set_dont_touch命令
set_dont_touch [get_nets clk] 
set_load -pin_load 1 [get_ports out]
create_clock [get_ports clk]  -period 10  -waveform {0 5}
set_clock_latency -source 0.5  [get_clocks clk]
set_input_delay -clock clk  0.5  [get_ports in1]
set_input_delay -clock clk  0.5  [get_ports in2]
set_input_delay -clock clk  0.5  [get_ports in3]

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。
如若转载,请注明出处:http://www.pswp.cn/diannao/93583.shtml
繁体地址,请注明出处:http://hk.pswp.cn/diannao/93583.shtml
英文地址,请注明出处:http://en.pswp.cn/diannao/93583.shtml

如若内容造成侵权/违法违规/事实不符,请联系英文站点网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

‌CASE WHEN THEN ELSE END‌

‌CASE WHEN THEN ELSE END‌ 是SQL中实现条件逻辑的核心表达式,支持单字段匹配和多条件判断,适用于数据处理、分类统计等场景。‌基本语法形式‌SQL中CASE表达式有两种标准形式:1‌ 简单CASE表达式‌(字段直接匹配)C…

飞单诱因:管理漏洞与人性交织

飞单看似是 “员工个人行为”,实则是餐厅管理、激励机制、外部环境等多重因素共同作用的结果。要根治飞单,需先理清背后的 “动力源”—— 员工为何选择冒险?一、“收入失衡”:薪资与付出不匹配的 “补偿心理”基层员工&#xff0…

工作笔记-----FreeRTOS中的lwIP网络任务为什么会让出CPU

工作笔记-----FreeRTOS中的lwIP网络任务为什么会让出CPU Author: 明月清了个风Date: 2025.7.30Ps:最近接触了在FreeRTOS中使用lwIP实现的网络任务,但是在看项目代码的过程中出现了一些疑问——网络任务的优先级为所有任务中最高的,并且任务框…

在 CentOS 系统上安装 Docker

在 CentOS 系统上安装 Docker,可按以下步骤操作:一、卸载旧版本(如存在)bashsudo yum remove docker \docker-client \docker-client-latest \docker-common \docker-latest \docker-latest-logrotate \docker-logrotate \docker-…

【CVPR2025】FlowRAM:用区域感知与流匹配加速高精度机器人操作策略学习

文章目录FlowRAM:用区域感知与流匹配加速高精度机器人操作策略学习一、问题出在哪里?方法部分:从结构到机制,详解 FlowRAM 的内部设计逻辑1. 动态半径调度器:自适应注意力机制在 3D 感知中的实现2. 多模态编码器与序列…

图片查重从设计到实现(5)Milvus可视化工具

要通过网页(Web)访问和管理 Milvus 向量数据库,可以使用官方提供的 Milvus Web UI 工具,这是一款可视化管理界面,支持查看集合、向量数据、执行基本操作等功能。以下是具体的部署和访问方法: 一、部署 Milv…

Linux-awk与sed

文章目录一、AWK1. awk 是什么?2. awk 的基础语法2.1 选项2.2 模式2.3 动作3. awk 的内置变量4. 典型应用场景及示例4.1 打印特定列4.2 条件筛选4.3 使用正则表达式4.4 统计行数4.5 字段操作4.6 使用内置函数4.7 多文件处理4.8 使用自定义变量5. 高级应用&#xff1…

文件加密工具(勒索病毒加密方式)

语言:C# WPF功能:文件加/解密本程序不提供下载,该程序新手操作不当,可能会导致文件加密后无法解密问题,解密需要独立私钥private.key文件支持,没有私钥加密文件是无法被解密的。更新:2025年7月3…

IOC实现原理源码解析

Spring三级缓存流程图singletonObjects(一级缓存):缓存经过了完整生命周期的Bean;arlySingletonobjects(二级缓存):缓存未经过完整生命周期的Bean,如果某个Bean出现了循环依赖&#…

笔记本电脑磁盘维护指南:WIN11系统磁盘维护完全手册

1. 引言 在当今数字化时代,笔记本电脑已经成为我们工作、学习和娱乐不可或缺的重要工具。随着Windows 11操作系统的普及和应用,用户对于系统性能和稳定性的要求越来越高。然而,许多用户往往忽视了一个至关重要的方面——磁盘维护。磁盘作为计算机系统中负责数据存储和读取的…

李宏毅2025《机器学习》-第九讲:大型语言模型评测的困境与“古德哈特定律”**

摘要: 随着大型语言模型(LLM)的推理能力日益增强,如何公平、准确地评测其“智力”水平,成了一个极其棘手的问题。本文基于李宏毅教授的最新课程,深入探讨了当前LLM评测面临的困境。文章首先揭示了标准数学和…

Spring Boot集成Chaos Monkey:构建高韧性系统的故障注入实战指南

Spring Boot集成Chaos Monkey:构建高韧性系统的故障注入实战指南一、Chaos Engineering核心原理1.1 混沌工程价值矩阵1.2 Chaos Monkey核心攻击类型二、Spring Boot集成Chaos Monkey2.1 基础集成配置依赖引入配置文件 - application.yml2.2 高级攻击策略配置自定义攻…

AtCoder Beginner Contest 416(ABCDE)

A - Vacation Validation 翻译&#xff1a; 给你一个长度为 N 的字符串 S&#xff0c;它由 o 和 x 以及整数 L 和 R 组成。 请判断 S 中从第 L 个字符到第 R 个字符的所有字符是否都是 o。 思路&#xff1a; &#xff08;模拟&#xff09; 实现&#xff1a; #include<bits…

【AlphaFold3】网络架构篇(2)|Input Embedding 对输入进行特征嵌入

博主简介&#xff1a;努力学习的22级计算机科学与技术本科生一枚&#x1f338;博主主页&#xff1a; Yaoyao2024往期回顾&#xff1a;【AlphaFold3】网络架构篇&#xff08;1&#xff09;|概览预测算法每日一言&#x1f33c;: 去留无意&#xff0c;闲看庭前花开花落&#xff1b…

秋招Day20 - 微服务 - 概念

什么是微服务&#xff1f;将一个大型的单体项目分割成一个个可以独立开发和部署的小服务&#xff0c;服务之间松耦合&#xff0c;可以通过轻量级通信机制&#xff08;比如HTTP&#xff09;相互协作微服务带来了哪些挑战&#xff1f; 介绍一下一下Dubbo&#xff1f;Dubbo是一个高…

PyTorch 生态四件套:从图片、视频到文本、语音的“开箱即用”实践笔记

写在前面 当我们谈论 PyTorch 时&#xff0c;我们首先想到的是 torch.Tensor、nn.Module 和强大的自动求导系统。但 PyTorch 的力量远不止于此。为了让开发者能更高效地处理图像、文本、音频、视频等真实世界的复杂数据&#xff0c;PyTorch 建立了一个强大的官方生态系统。本文…

2023 年 NOI 最后一题题解

问题描述2023 年 NOI 最后一题是一道融合图论与动态规划的综合优化问题&#xff0c;聚焦于带时间窗约束的多路径规划。题目具体要求如下&#xff1a;给定一个有向图&#xff0c;其中节点代表城市&#xff0c;边代表交通路线。每条边具有三个属性&#xff1a;行驶时间、基础费用…

Android补全计划 TextView设置文字不同字体和颜色

1 富文本 1 java中动态加载文本 颜色 String strMsg "今天<font color\"#00ff00\">天气不错</font>"; tv_msg.setText(Html.fromHtml(strMsg));字体和颜色 String str2 "今天<font color\"#00ff00\"><big>天气不…

C语言:详解单链表与例题

C语言&#xff1a;详解单链表与例题 1.单链表的实现 2.例题&#xff1a;移除链表元素 1.单链表的实现 链表根据带头或不带头、单向或双向、循环或不循环分类为8种&#xff0c;最常用的是单链表和双向链表&#xff0c;单链表是 不带头单向不循环 链表。 链表由节点组成&#xff…

从0开始学习R语言--Day62--RE插补

对于会有多次测量值的数据&#xff0c;用普通的回归去插补&#xff0c;往往会忽略掉数据个体本身的特点&#xff0c;毕竟多次的测量值其实就代表了数据个体的不稳定性&#xff0c;存在额外的干扰。而RE的插补原理是结合个体本身的随机效应和群体的固体效应再加上截距进行插补的…